Code Monkey home page Code Monkey logo

ddr3-controller's People

Contributors

someone755 avatar

Stargazers

 avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar

Watchers

 avatar  avatar  avatar  avatar

ddr3-controller's Issues

Unsupported frequency error generation

I'm not really sure if this is an issue with the code or with Vivado itself. I'm not that experienced with Vivado.
I created a new project in Vivado 2020.2 and added your source files. I added the FIFO generator from the IP Catalog.
However, I still see this

image

I'm not sure what it represents or how to get rid of it. Has anyone else encountered this?

Question for code

“localparam lpdiv_CCD = lp_BL/2/2 - 1; // WRITE-to-WRITE (actually just BL/2 in DDR CK)(CCD = CAS#-to-CAS# delay)”Why here minus one?

ODT Support ?

I noticed ODT is pulled low and not used. Why is it so and would not having it cause failures when operating in rare conditions ?

Read/write test error

Hello, I am very interested in this project, and I have met some problems in my study.

I have instantiated the ddr3_x16_phy_cust and ddr3_rdcal modules in your Arty S7-50 project, and programmed the app module to generate the rdcal_start signal and control the data and address input of the ddr3_rdcal module.

The parameters as a whole follow your configuration.

The DDR interface frequency is 300M, ISERDES_16B, 32B, and 48B are both FALSE. The IDELAYCTRL frequency is 200M. The RD_DELAY is set to 6/10(Same phenomenon), and is deployed on zynq7030.

The board level tests are as follows:

After the w_rdcal_done signal is high, the single read/write test passes. Data written and read at address 0x10 are both 0xaaaa_aaaa_aaaa_aaaa. For details, see the following figure :

single_rw_pass

However, the problem occurs when the data is read after continuous writing. At address 0x0, full A is written to address 0x8, and full B is written to address 0x10. The data read at address 0x0 is full C, and the full A is different. For details, see the following figure :

mulit_rw_fail

According to the waveform, when the write operation is effective, the write data will be updated to the read data repository. In this way, the data read for the first time is the data written for the last time, which has nothing to do with the address.

I haven't studied your code in depth, so I want to study it further after running it through first. What is the problem according to your experience?

Looking forward to your reply and guidance, thank you!

Recommend Projects

  • React photo React

    A declarative, efficient, and flexible JavaScript library for building user interfaces.

  • Vue.js photo Vue.js

    🖖 Vue.js is a progressive, incrementally-adoptable JavaScript framework for building UI on the web.

  • Typescript photo Typescript

    TypeScript is a superset of JavaScript that compiles to clean JavaScript output.

  • TensorFlow photo TensorFlow

    An Open Source Machine Learning Framework for Everyone

  • Django photo Django

    The Web framework for perfectionists with deadlines.

  • D3 photo D3

    Bring data to life with SVG, Canvas and HTML. 📊📈🎉

Recommend Topics

  • javascript

    JavaScript (JS) is a lightweight interpreted programming language with first-class functions.

  • web

    Some thing interesting about web. New door for the world.

  • server

    A server is a program made to process requests and deliver data to clients.

  • Machine learning

    Machine learning is a way of modeling and interpreting data that allows a piece of software to respond intelligently.

  • Game

    Some thing interesting about game, make everyone happy.

Recommend Org

  • Facebook photo Facebook

    We are working to build community through open source technology. NB: members must have two-factor auth.

  • Microsoft photo Microsoft

    Open source projects and samples from Microsoft.

  • Google photo Google

    Google ❤️ Open Source for everyone.

  • D3 photo D3

    Data-Driven Documents codes.