Code Monkey home page Code Monkey logo

processor-uvm-verification's Introduction

Processor-UVM-Verification

System Verilog based Verification of MIPS 5 staged pipelined processor using UVM environment

processor-uvm-verification's People

Contributors

gupta409 avatar

Stargazers

 avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar  avatar

Watchers

 avatar  avatar  avatar

processor-uvm-verification's Issues

Some questions about makefile

Hello, I am currently learning the relevant knowledge of UVM, and hope to get more familiar with VUVM through this project of yours. I saw that the makefile you uploaded was compiled through VCS. I hope to be able to compile through questa sim. In this case, what kind of makefile modification is required? Looking forward to your reply!

Need more detailed Readme.md

Currently the project is in it's extremely vanilla form. There is a need for details to be listed in the Readme.md.

Most of the details can be found in the Documentation directory.

Also need documentation on build and install of project.

How to passed your testbench without any UVM_ERROR?

Hi gupta409,

I run your code with vcs simulator but get scoreboard issue about LOAD and MOVE command.

UVM_ERROR processor_scoreboard.sv(264) @ 11030000: uvm_test_top.env.sb [LOAD_FAIL] Actual Calculation=16384 Expected Calculation= 0
UVM_ERROR processor_scoreboard.sv(311) @ 46630000: uvm_test_top.env.sb [MOVE_FAIL] Actual Calculation= 154 Expected Calculation= 0
Please support me how to passed your testbench without any UVM_ERROR.
Please refer to run log file attached.

Regards,
Luan.

EDA Playground Link

It would be nice to have a ready to run version of the project in EDA Playground. This would require loading the code and setting up the project in EDA Playground. Finally making it ready compile and run.

Recommend Projects

  • React photo React

    A declarative, efficient, and flexible JavaScript library for building user interfaces.

  • Vue.js photo Vue.js

    ๐Ÿ–– Vue.js is a progressive, incrementally-adoptable JavaScript framework for building UI on the web.

  • Typescript photo Typescript

    TypeScript is a superset of JavaScript that compiles to clean JavaScript output.

  • TensorFlow photo TensorFlow

    An Open Source Machine Learning Framework for Everyone

  • Django photo Django

    The Web framework for perfectionists with deadlines.

  • D3 photo D3

    Bring data to life with SVG, Canvas and HTML. ๐Ÿ“Š๐Ÿ“ˆ๐ŸŽ‰

Recommend Topics

  • javascript

    JavaScript (JS) is a lightweight interpreted programming language with first-class functions.

  • web

    Some thing interesting about web. New door for the world.

  • server

    A server is a program made to process requests and deliver data to clients.

  • Machine learning

    Machine learning is a way of modeling and interpreting data that allows a piece of software to respond intelligently.

  • Game

    Some thing interesting about game, make everyone happy.

Recommend Org

  • Facebook photo Facebook

    We are working to build community through open source technology. NB: members must have two-factor auth.

  • Microsoft photo Microsoft

    Open source projects and samples from Microsoft.

  • Google photo Google

    Google โค๏ธ Open Source for everyone.

  • D3 photo D3

    Data-Driven Documents codes.