Code Monkey home page Code Monkey logo

euroshielddrums's People

Contributors

triscus avatar

Stargazers

 avatar  avatar  avatar  avatar  avatar  avatar  avatar

Watchers

 avatar  avatar  avatar  avatar

euroshielddrums's Issues

error compiling

trying to compile on my macbook pro i get this error message:


EuroshieldDrums:171: warning: ISO C++ forbids converting a string constant to 'char*' 
 char* modeText[NUMBER_OF_MODES] = { "Freq       ","Length     ","2nd        ","Pitch      ","Filter Mode","Filter Freq","Filter Reso","Reverb     " ,"Roomsize   ","Dampening  " };
                                                                                                                                                                                  ^
EuroshieldDrums:171: warning: ISO C++ forbids converting a string constant to 'char*' 
EuroshieldDrums:171: warning: ISO C++ forbids converting a string constant to 'char*' 
EuroshieldDrums:171: warning: ISO C++ forbids converting a string constant to 'char*' 
EuroshieldDrums:171: warning: ISO C++ forbids converting a string constant to 'char*' 
EuroshieldDrums:171: warning: ISO C++ forbids converting a string constant to 'char*' 
EuroshieldDrums:171: warning: ISO C++ forbids converting a string constant to 'char*' 
EuroshieldDrums:171: warning: ISO C++ forbids converting a string constant to 'char*' 
EuroshieldDrums:171: warning: ISO C++ forbids converting a string constant to 'char*' 
EuroshieldDrums:171: warning: ISO C++ forbids converting a string constant to 'char*' 
EuroshieldDrums:175: warning: ISO C++ forbids converting a string constant to 'char*' 
 char* filterModeText[NUMBER_OF_FILTER_MODES] = { "Low Pass","Band Pass", "High Pass" };
                                                                                      ^
EuroshieldDrums:175: warning: ISO C++ forbids converting a string constant to 'char*' 
EuroshieldDrums:175: warning: ISO C++ forbids converting a string constant to 'char*' 
EuroshieldDrums: In function 'void loop()':
EuroshieldDrums:485: warning: comparison between signed and unsigned integer expressions 
    if (peakCV[i] > 0.5 && drumUpperTriggered > drumLength[i])
                                              ^
EuroshieldDrums:494: warning: comparison between signed and unsigned integer expressions 
    if (peakCV[i] > 0.5 && drumLowerTriggered > drumLength[i])
                                              ^
/Applications/Arduino.app/Contents/Java/hardware/tools/arm/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/bin/ld: /var/folders/5k/gx3q_ndj0dl6zs6gr_fnpbjr0000gn/T/arduino_build_889806/EuroshieldDrums.ino.elf section `.bss' will not fit in region `RAM'
/Applications/Arduino.app/Contents/Java/hardware/tools/arm/bin/../lib/gcc/arm-none-eabi/5.4.1/../../../../arm-none-eabi/bin/ld: region `RAM' overflowed by 1732 bytes
collect2: error: ld returned 1 exit status
Multiple libraries were found for "SD.h"
 Used: /Applications/Arduino.app/Contents/Java/hardware/teensy/avr/libraries/SD
 Not used: /Applications/Arduino.app/Contents/Java/libraries/SD
Error compiling for board Teensy 3.2 / 3.1.

This report would have more information with
"Show verbose output during compilation"
option enabled in File -> Preferences.

i've successfully flashed a couple of the code examples provided on the forum from christine

Recommend Projects

  • React photo React

    A declarative, efficient, and flexible JavaScript library for building user interfaces.

  • Vue.js photo Vue.js

    ๐Ÿ–– Vue.js is a progressive, incrementally-adoptable JavaScript framework for building UI on the web.

  • Typescript photo Typescript

    TypeScript is a superset of JavaScript that compiles to clean JavaScript output.

  • TensorFlow photo TensorFlow

    An Open Source Machine Learning Framework for Everyone

  • Django photo Django

    The Web framework for perfectionists with deadlines.

  • D3 photo D3

    Bring data to life with SVG, Canvas and HTML. ๐Ÿ“Š๐Ÿ“ˆ๐ŸŽ‰

Recommend Topics

  • javascript

    JavaScript (JS) is a lightweight interpreted programming language with first-class functions.

  • web

    Some thing interesting about web. New door for the world.

  • server

    A server is a program made to process requests and deliver data to clients.

  • Machine learning

    Machine learning is a way of modeling and interpreting data that allows a piece of software to respond intelligently.

  • Game

    Some thing interesting about game, make everyone happy.

Recommend Org

  • Facebook photo Facebook

    We are working to build community through open source technology. NB: members must have two-factor auth.

  • Microsoft photo Microsoft

    Open source projects and samples from Microsoft.

  • Google photo Google

    Google โค๏ธ Open Source for everyone.

  • D3 photo D3

    Data-Driven Documents codes.