Code Monkey home page Code Monkey logo

openflow's Introduction

FPGA Openflow License

A Python library, and CLI utilities, which solves HDL-to-bitstream based on FOSS.

The library is basically a wrapper which employs hdl/containers to perform synthesis (VHDL and/or Verilog), implementation and bitstream generation. Some command-line utilities are provided, to be used as standalone tools.

Currently, it is based on GHDL, Yosys, ghdl-yosys-plugin, nextpnr, icestorm and prjtrellis.

NOTE: it started as part of PyFPGA and will be used to solves the openflow tool.

openflow's People

Contributors

rodrigomelo9 avatar

Stargazers

 avatar  avatar  avatar

Watchers

 avatar  avatar

Forkers

mfkiwl

openflow's Issues

YAML configuration file definition

PyFPGA currently uses:

openflow:
    oci:
        engine:
            command: docker
            volumes: ["$HOME:$HOME"]
            work: $PWD
        containers:
            ghdl: "ghdl/synth:beta"
            yosys: "ghdl/synth:beta"
            nextpnr-ice40: "ghdl/synth:nextpnr-ice40"
            icetime: "ghdl/synth:icestorm"
            icepack: "ghdl/synth:icestorm"
            iceprog: "--device /dev/bus/usb ghdl/synth:prog"
            nextpnr-ecp5: "ghdl/synth:nextpnr-ecp5"
            ecppack: "ghdl/synth:trellis"
            openocd: "--device /dev/bus/usb ghdl/synth:prog"
    tools:
        ghdl: ghdl
        yosys: yosys
        nextpnr-ice40: nextpnr-ice40
        icetime: icetime
        icepack: icepack
        iceprog: iceprog
        nextpnr-ecp5: nextpnr-ecp5
        ecppack: ecppack
        openocd: openocd

I am thinking in a simplification for openflow:

engine:
  command: docker
  volumes: ["$HOME:$HOME"]
  work: $PWD
containers:
  ghdl: "ghdl/synth:beta"
  yosys: "ghdl/synth:beta"
  nextpnr-ice40: "ghdl/synth:nextpnr-ice40"
  icetime: "ghdl/synth:icestorm"
  icepack: "ghdl/synth:icestorm"
  iceprog: "--device /dev/bus/usb ghdl/synth:prog"
  nextpnr-ecp5: "ghdl/synth:nextpnr-ecp5"
  ecppack: "ghdl/synth:trellis"
  openocd: "--device /dev/bus/usb ghdl/synth:prog"
tools:
  ghdl: ghdl
  yosys: yosys
  nextpnr-ice40: nextpnr-ice40
  icetime: icetime
  icepack: icepack
  iceprog: iceprog
  nextpnr-ecp5: nextpnr-ecp5
  ecppack: ecppack
  openocd: openocd

@umarcor @olofk @carlosedp as suggested in olofk/edalize#221 could be a good idea to define a shared format :-D

Recommend Projects

  • React photo React

    A declarative, efficient, and flexible JavaScript library for building user interfaces.

  • Vue.js photo Vue.js

    ๐Ÿ–– Vue.js is a progressive, incrementally-adoptable JavaScript framework for building UI on the web.

  • Typescript photo Typescript

    TypeScript is a superset of JavaScript that compiles to clean JavaScript output.

  • TensorFlow photo TensorFlow

    An Open Source Machine Learning Framework for Everyone

  • Django photo Django

    The Web framework for perfectionists with deadlines.

  • D3 photo D3

    Bring data to life with SVG, Canvas and HTML. ๐Ÿ“Š๐Ÿ“ˆ๐ŸŽ‰

Recommend Topics

  • javascript

    JavaScript (JS) is a lightweight interpreted programming language with first-class functions.

  • web

    Some thing interesting about web. New door for the world.

  • server

    A server is a program made to process requests and deliver data to clients.

  • Machine learning

    Machine learning is a way of modeling and interpreting data that allows a piece of software to respond intelligently.

  • Game

    Some thing interesting about game, make everyone happy.

Recommend Org

  • Facebook photo Facebook

    We are working to build community through open source technology. NB: members must have two-factor auth.

  • Microsoft photo Microsoft

    Open source projects and samples from Microsoft.

  • Google photo Google

    Google โค๏ธ Open Source for everyone.

  • D3 photo D3

    Data-Driven Documents codes.