Code Monkey home page Code Monkey logo

hao310rui140326's Projects

fec icon fec

FEC Codec IP core library for a some famous codes (BCH, RS, LDPC, Turbo)

fec-archive-verilog icon fec-archive-verilog

Verilog Forward Error Correction Archive: BOX-Muller for fast AWGN generation, Universal Demapper from BPSK to QAM-512, different Forward Error Correction coders and decoders Hamming code, Golay code (24), 4-dimension 8-ary phase shift keying trellis coded modulation (TCM_4D_8PSK), BCH, CCSDS and recursive systematic convolutional (RSC) Turbo codes

fgpu icon fgpu

FGPU is a soft GPU architecture general purpose computing

flash-linux0.11-talk icon flash-linux0.11-talk

你管这破玩意叫操作系统源码 — 像小说一样品读 Linux 0.11 核心代码

float-matmul icon float-matmul

Floating-point matrix multiplication implementation (arbitrary precision)

fpga icon fpga

帮助大家进行FPGA的入门,分享FPGA相关的优秀文章,优秀项目

fpga-bpf icon fpga-bpf

A versatile Wireshark-compatible packet filter, capable of 100G speeds and higher. Also known as FFShark

gemm_hls icon gemm_hls

Scalable systolic array-based matrix-matrix multiplication implemented in Vivado HLS for Xilinx FPGAs.

gplgpu icon gplgpu

GPL v3 2D/3D graphics engine in verilog

hls4ml icon hls4ml

Machine learning on FPGAs using HLS

isp_uvm icon isp_uvm

A Framework for Design and Verification of Image Processing Applications using UVM

learning-nvdla-notes icon learning-nvdla-notes

NVDLA is an Open source DL/ML accelerator, which is very suitable for individuals or college students. This is the NOTES when I learn and try. Hope THIS PAGE may Helps you a bit. Contact Me:[email protected]

leflow icon leflow

Enabling Flexible FPGA High-Level Synthesis of Tensorflow Deep Neural Networks

linux-0.11 icon linux-0.11

The old Linux kernel source ver 0.11 which has been tested under modern Linux, Mac OSX and Windows.

litepcie icon litepcie

Small footprint and configurable PCIe core

litex icon litex

Build your hardware, easily!

lmac_core3 icon lmac_core3

Ethernet MAC IP Core for 100G/50G/40G/25G/10Gbps

mmu icon mmu

MMU simulata in Verilog

Recommend Projects

  • React photo React

    A declarative, efficient, and flexible JavaScript library for building user interfaces.

  • Vue.js photo Vue.js

    🖖 Vue.js is a progressive, incrementally-adoptable JavaScript framework for building UI on the web.

  • Typescript photo Typescript

    TypeScript is a superset of JavaScript that compiles to clean JavaScript output.

  • TensorFlow photo TensorFlow

    An Open Source Machine Learning Framework for Everyone

  • Django photo Django

    The Web framework for perfectionists with deadlines.

  • D3 photo D3

    Bring data to life with SVG, Canvas and HTML. 📊📈🎉

Recommend Topics

  • javascript

    JavaScript (JS) is a lightweight interpreted programming language with first-class functions.

  • web

    Some thing interesting about web. New door for the world.

  • server

    A server is a program made to process requests and deliver data to clients.

  • Machine learning

    Machine learning is a way of modeling and interpreting data that allows a piece of software to respond intelligently.

  • Game

    Some thing interesting about game, make everyone happy.

Recommend Org

  • Facebook photo Facebook

    We are working to build community through open source technology. NB: members must have two-factor auth.

  • Microsoft photo Microsoft

    Open source projects and samples from Microsoft.

  • Google photo Google

    Google ❤️ Open Source for everyone.

  • D3 photo D3

    Data-Driven Documents codes.