Code Monkey home page Code Monkey logo

ChandulaNethmal's Projects

accident-alerting-system-using-gsm-shield icon accident-alerting-system-using-gsm-shield

This was a kind of basic project I have involved with one of my friend who was studying at a engineering education Institute. The target was an accident alerting system for a vehicle. When the car getting closer to a vehicle alerting system gives a beep pulse sound to alert the driver. The driver can manually silent the alarm by separated push button switch. If the vehicle gets very closer (7cm as an example) system gives an emergency alarm to indicate an accident. The driver still can silence the alarm and ignore the incidence as a mistake by pressing the same button mentioned above within a minute. Unless the driver presses the button within a minute system to consider this as an accident and sends SMS to the family and police with the GPS coordinates of the vehicle, time and information of the accident. Then it automatically makes a missed call to the family as the SMS do not give an urgent alert. however as my thoughts this method has a tedious part with sing an ultra sonic sensor.

masteringrtos icon masteringrtos

Running FreeRTOS on Arduino, STM32F4x and Cortex M based MCUs

pcapplusplus icon pcapplusplus

PcapPlusPlus is a multiplatform C++ library for capturing, parsing and crafting of network packets. It is designed to be efficient, powerful and easy to use. It provides C++ wrappers for the most popular packet processing engines such as libpcap, WinPcap, DPDK and PF_RING.

processor-design-and-implementation-on-a-fpga icon processor-design-and-implementation-on-a-fpga

Overview The objective of this project is to design object specified microprocessor and a Central Processing Unit in order to downscale an image. As steps of above task, we have to simulate it using the Verilog hardware description language, and finally to implement it in hardware using programmable logic device such as FPGA (Field Programmable Gate Array). This document describes all the steps we followed in the microprocessor and CPU design, the test codes used to verify it, and the physical hardware implementation.

programming-atmega-328p-with-arduino-board-as-an-isp-programmer- icon programming-atmega-328p-with-arduino-board-as-an-isp-programmer-

Here we are using an Arduino UNO board to program the Atmega 328PU chip. UNO board is acting as an ISP (In System Programming) programmer to program the chip on a bread board or on a PCB. This method allows to program a microcontroller while it ids installed in a system.

simulations-on-solutions-of-schrodinger-s-equation-with-matlab icon simulations-on-solutions-of-schrodinger-s-equation-with-matlab

There are different examples regarding a single particle which is trapped inside different potential distributions in one-dimensional, two-dimensional or threedimensional cases. In each case we will discuss the example by applying Schrodinger’s equation and coming to conclusions about the motion of the particle and probabilities of finding the particle. Also, we simulate results from the Schrodinger’s equation with MATLAB software package using different numerical techniques and inbuilt libraries to plot resulting functions.

uart-communication-link-on-a-fpga-using-verilog-hdl icon uart-communication-link-on-a-fpga-using-verilog-hdl

This post is regarding a HDL implementation of a UART(Universal Asynchronous Receiver Transmitter) for one of our university fourth semester projects.For the project we were supposed to implement a UART link for a FPGA development board using Verilog as the HDL and send some data to another FPGA development board which also have a UART implementation.

Recommend Projects

  • React photo React

    A declarative, efficient, and flexible JavaScript library for building user interfaces.

  • Vue.js photo Vue.js

    🖖 Vue.js is a progressive, incrementally-adoptable JavaScript framework for building UI on the web.

  • Typescript photo Typescript

    TypeScript is a superset of JavaScript that compiles to clean JavaScript output.

  • TensorFlow photo TensorFlow

    An Open Source Machine Learning Framework for Everyone

  • Django photo Django

    The Web framework for perfectionists with deadlines.

  • D3 photo D3

    Bring data to life with SVG, Canvas and HTML. 📊📈🎉

Recommend Topics

  • javascript

    JavaScript (JS) is a lightweight interpreted programming language with first-class functions.

  • web

    Some thing interesting about web. New door for the world.

  • server

    A server is a program made to process requests and deliver data to clients.

  • Machine learning

    Machine learning is a way of modeling and interpreting data that allows a piece of software to respond intelligently.

  • Game

    Some thing interesting about game, make everyone happy.

Recommend Org

  • Facebook photo Facebook

    We are working to build community through open source technology. NB: members must have two-factor auth.

  • Microsoft photo Microsoft

    Open source projects and samples from Microsoft.

  • Google photo Google

    Google ❤️ Open Source for everyone.

  • D3 photo D3

    Data-Driven Documents codes.